Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- class our_packet extends uvm_sequence_item;
- `uvm_component_utils(our_packet)
- //request
- rand bit[7:0] input_1; //rand is to randomize the input bits
- bit[7:0] input_2;
- //response
- bit[15:0] output_3;
- function new(string name="our_packet");
- super.new(name);
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement