Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- class our_monitor extends uvm_monitor;
- `uvm_component_utils(our_monitor)
- our_interface intf; //instantiated the interface
- uvm_analysis_port #(our_sequence_item) mon_port; //instantiated the monitor port
- //build phase
- //build other components
- uvm_config_db #(virtual our_interface) :: get(null, " * ", "intf", intf); //the GET method
- mon_port = new ("Monitor Port", this); //created the monitor port
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement