Advertisement
aidanozo

Untitled

Oct 23rd, 2024
22
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module multiplier #(
  2.     parameter p_width = 4
  3. ) (
  4.     output wire [((p_width*2)-1):0] o_w_p,
  5.     input wire [(p_width-1):0] i_w_a,
  6.     input wire [(p_width-1):0] i_w_b
  7. );
  8.  
  9.     // Implementarea noastra
  10.    
  11. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement