Advertisement
solielios

ניסוי MUX

Mar 9th, 2025
104
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 2.15 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. ‎ ‎
  4. entity myMux is
  5. port (Din : in bit_vector(3 downto 0);
  6. ‎        sel : in bit_vector(1 downto 0);
  7. ‎        y : out bit);
  8. end;
  9. ‎ ‎
  10. architecture be of myMux is
  11. begin
  12. ‎ ‎
  13. with sel select
  14. ‎    y <= Din(0) when "00",‎
  15. ‎    Din(1) when "01",‎
  16. ‎    Din(2) when "10",‎
  17. ‎    Din(3) when "11";
  18. ‎    ‎
  19. end be;
  20.  
  21.  
  22. ללא התניות:
  23. Port (s : in integer range 0 to 3‎‏;
  24. בפורט
  25. y <= din(s)
  26. בארכיטקטורה
  27.  
  28. 1.‏    מהו גודל הזכרון המוקצה עבור ‏INTEGER RANGE 0 TO 3 ‎‏? מהו גודל הזכרון ‏המוקצה עבור ‏INTEGER RANGE 0 TO 9‎‏?‏‎
  29. עבור משתנה בטווח של 0 עד 3 מוקצה בזיכרון 2 סיביות (11 - 3), בטווח 0 עד 9 מוקצה ‏בזיכרון 4 סיביות‎.(9 - 1001)
  30. 2.‏    האם המרבב הינו סינכרוני או א-סינכרוני? הסבר מדוע‎.
  31. המרבב הינו מרבב אסינכרוני כיוון שהוא לא מופעל באמצעות דרבון‎.‎
  32. 3.‏    האם ניתן לכתוב מרבב סינכרוני? הסבר כיצד‎.
  33. אכן ניתן לרשום מרבב סינכרוני, נדרש להוסיף כניסת דרבון והליך טורי.‏
  34. 4.‏    האם פקודת‎ Select .. With ‎עובדת גם בתוך הליך טורי‎?
  35. לא. פקודה זו נרשמת אך ורק בהליך מקבילי‎.‎
  36. 5.‏    מהם השינויים בתוכנית שצריך לעשות על מנת שבאמצעות שינוי ערך אחד נוכל ‏לשנות את גודל המרבב‎?
  37. ניתן להוסיף משתנה גנרי‎ (GENERIC), ‎לרשום את הכניסות והיציאות כתלות במשתנה זה ‏לדוגמה: ‏‎(0 downto m)
  38. לסיום, נדרש לשנות את התיאור ההתנהגותי‎ (ARCHITECTURE) ‎ולגרום למוצא להיות תלוי ‏במיקום הסיבית עליה מצביע משתנה הבקרה: ‏y <= din(s)
  39. 6.‏    מה הפקודה המקבילה בהליך טורי לפקודה‎ ?WITH SELECT  
  40. CASE‏.‏
  41.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement