Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- library IEEE;
- use IEEE.STD_LOGIC_1164.ALL;
- entity Moving_Colors is
- port (
- Clk100,Reset : in std_logic ;
- RED_Out, BLUE_Out, GREEN_Out: out std_logic_vector(3 downto 0));
- end Moving_Colors;
- architecture Behavioral of Moving_Colors is
- signal Clk10 : std_logic;
- begin
- -- Diviseur Horloge 100 MHz --> 10 Mhz
- Diviseur: entity work.ClkDivMC
- port map(Clk100,Reset,Clk10);
- -- Machine a Etat + Compteurs
- MAE : entity work.MAE
- port map(Clk100,Reset,Clk10,RED_Out,BLUE_Out,GREEN_Out);
- end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement