Advertisement
stiansjogren

cons

May 24th, 2016
244
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 13.23 KB | None | 0 0
  1. ## This file is a general .xdc for the ZYBO Rev B board
  2. ## To use it in a project:
  3. ## - uncomment the lines corresponding to used pins
  4. ## - rename the used signals according to the project
  5.  
  6. ##Clock signal
  7. ##IO_L11P_T1_SRCC_35
  8. #set_property PACKAGE_PIN L16 [get_ports clk]
  9. #set_property IOSTANDARD LVCMOS33 [get_ports clk]
  10. #create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports clk]
  11.  
  12. ##Switches
  13. ##IO_L19N_T3_VREF_35
  14. set_property PACKAGE_PIN G15 [get_ports {inp[0]}]
  15. set_property IOSTANDARD LVCMOS33 [get_ports {inp[0]}]
  16.  
  17. ##IO_L24P_T3_34
  18. set_property PACKAGE_PIN P15 [get_ports {inp[1]}]
  19. set_property IOSTANDARD LVCMOS33 [get_ports {inp[1]}]
  20.  
  21.  
  22. ##IO_L4N_T0_34
  23. set_property PACKAGE_PIN W13 [get_ports {inp[2]}]
  24. set_property IOSTANDARD LVCMOS33 [get_ports {inp[2]}]
  25.  
  26. ##IO_L9P_T1_DQS_34
  27. set_property PACKAGE_PIN T16 [get_ports {inp[3]}]
  28. set_property IOSTANDARD LVCMOS33 [get_ports {inp[3]}]
  29.  
  30. ##Buttons
  31. ##IO_L20N_T3_34
  32. #set_property PACKAGE_PIN R18 [get_ports {btn[0]}]
  33. #set_property IOSTANDARD LVCMOS33 [get_ports {btn[0]}]
  34.  
  35. ##IO_L24N_T3_34
  36. #set_property PACKAGE_PIN P16 [get_ports {btn[1]}]
  37. #set_property IOSTANDARD LVCMOS33 [get_ports {btn[1]}]
  38.  
  39. ##IO_L18P_T2_34
  40. #set_property PACKAGE_PIN V16 [get_ports {btn[2]}]
  41. #set_property IOSTANDARD LVCMOS33 [get_ports {btn[2]}]
  42.  
  43. ##IO_L7P_T1_34
  44. #set_property PACKAGE_PIN Y16 [get_ports {btn[3]}]
  45. #set_property IOSTANDARD LVCMOS33 [get_ports {btn[3]}]
  46.  
  47. ##LEDs
  48. ##IO_L23P_T3_35
  49. set_property PACKAGE_PIN M14 [get_ports {gpio_io_o[0]}]
  50. set_property IOSTANDARD LVCMOS33 [get_ports {gpio_io_o[0]}]
  51. ##IO_L23N_T3_35
  52. set_property PACKAGE_PIN M15 [get_ports {gpio_io_o[1]}]
  53. set_property IOSTANDARD LVCMOS33 [get_ports {gpio_io_o[1]}]
  54. ##IO_0_35
  55. set_property PACKAGE_PIN G14 [get_ports {gpio_io_o[2]}]
  56. set_property IOSTANDARD LVCMOS33 [get_ports {gpio_io_o[2]}]
  57. ##IO_L3N_T0_DQS_AD1N_35
  58. set_property PACKAGE_PIN D18 [get_ports {gpio_io_o[3]}]
  59. set_property IOSTANDARD LVCMOS33 [get_ports {gpio_io_o[3]}]
  60.  
  61. ##I2S Audio Codec
  62. ##IO_L12N_T1_MRCC_35
  63. set_property PACKAGE_PIN K18 [get_ports audio_bclk]
  64. set_property IOSTANDARD LVCMOS33 [get_ports audio_bclk]
  65.  
  66. ##IO_25_34
  67. set_property PACKAGE_PIN T19 [get_ports audio_mclk]
  68. set_property IOSTANDARD LVCMOS33 [get_ports audio_mclk]
  69.  
  70. ##IO_L23N_T3_34
  71. set_property PACKAGE_PIN P18 [get_ports audio_nsd]
  72. set_property IOSTANDARD LVCMOS33 [get_ports audio_nsd]
  73.  
  74. ##IO_L8P_T1_AD10P_35
  75. set_property PACKAGE_PIN M17 [get_ports audio_sdata]
  76. set_property IOSTANDARD LVCMOS33 [get_ports audio_sdata]
  77.  
  78. ##IO_L11N_T1_SRCC_35
  79. set_property PACKAGE_PIN L17 [get_ports audio_lrclk]
  80. set_property IOSTANDARD LVCMOS33 [get_ports audio_lrclk]
  81.  
  82. ##IO_L12P_T1_MRCC_35
  83. #set_property PACKAGE_PIN K17 [get_ports SDATA_I]
  84. #set_property IOSTANDARD LVCMOS33 [get_ports SDATA_I]
  85.  
  86. ##IO_L8N_T1_AD10N_35
  87. set_property PACKAGE_PIN M18 [get_ports audio_lrclk]
  88. set_property IOSTANDARD LVCMOS33 [get_ports audio_lrclk]
  89.  
  90. ##Audio Codec/external EEPROM IIC bus
  91. ##IO_L13P_T2_MRCC_34
  92. #set_property PACKAGE_PIN N18 [get_ports iic_0_scl_io]
  93. #set_property IOSTANDARD LVCMOS33 [get_ports iic_0_scl_io]
  94. #set_property PULLUP true [get_ports iic_0_scl_io]
  95.  
  96. ##IO_L23P_T3_34
  97. #set_property PACKAGE_PIN N17 [get_ports iic_0_sda_io]
  98. #set_property IOSTANDARD LVCMOS33 [get_ports iic_0_sda_io]
  99. #set_property PULLUP true [get_ports iic_0_sda_io]
  100.  
  101. ##Additional Ethernet signals
  102. ##IO_L6P_T0_35
  103. #set_property PACKAGE_PIN F16 [get_ports eth_int_b]
  104. #set_property IOSTANDARD LVCMOS33 [get_ports eth_int_b]
  105.  
  106. ##IO_L3P_T0_DQS_AD1P_35
  107. #set_property PACKAGE_PIN E17 [get_ports eth_rst_b]
  108. #set_property IOSTANDARD LVCMOS33 [get_ports eth_rst_b]
  109.  
  110. ##HDMI Signals
  111. ##IO_L13N_T2_MRCC_35
  112. #set_property PACKAGE_PIN H17 [get_ports hdmi_clk_n]
  113. #set_property IOSTANDARD TMDS_33 [get_ports hdmi_clk_n]
  114.  
  115. ##IO_L13P_T2_MRCC_35
  116. #set_property PACKAGE_PIN H16 [get_ports hdmi_clk_p]
  117. #set_property IOSTANDARD TMDS_33 [get_ports hdmi_clk_p]
  118.  
  119. ##IO_L4N_T0_35
  120. #set_property PACKAGE_PIN D20 [get_ports {hdmi_d_n[0]}]
  121. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_n[0]}]
  122.  
  123. ##IO_L4P_T0_35
  124. #set_property PACKAGE_PIN D19 [get_ports {hdmi_d_p[0]}]
  125. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_p[0]}]
  126.  
  127. ##IO_L1N_T0_AD0N_35
  128. #set_property PACKAGE_PIN B20 [get_ports {hdmi_d_n[1]}]
  129. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_n[1]}]
  130.  
  131. ##IO_L1P_T0_AD0P_35
  132. #set_property PACKAGE_PIN C20 [get_ports {hdmi_d_p[1]}]
  133. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_p[1]}]
  134.  
  135. ##IO_L2N_T0_AD8N_35
  136. #set_property PACKAGE_PIN A20 [get_ports {hdmi_d_n[2]}]
  137. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_n[2]}]
  138.  
  139. ##IO_L2P_T0_AD8P_35
  140. #set_property PACKAGE_PIN B19 [get_ports {hdmi_d_p[2]}]
  141. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi_d_p[2]}]
  142.  
  143. ##IO_L5N_T0_AD9N_35
  144. #set_property PACKAGE_PIN E19 [get_ports hdmi_cec]
  145. #set_property IOSTANDARD LVCMOS33 [get_ports hdmi_cec]
  146.  
  147. ##IO_L5P_T0_AD9P_35
  148. #set_property PACKAGE_PIN E18 [get_ports hdmi_hpd]
  149. #set_property IOSTANDARD LVCMOS33 [get_ports hdmi_hpd]
  150.  
  151. ##IO_L6N_T0_VREF_35
  152. #set_property PACKAGE_PIN F17 [get_ports hdmi_out_en]
  153. #set_property IOSTANDARD LVCMOS33 [get_ports hdmi_out_en]
  154.  
  155. ##IO_L16P_T2_35
  156. #set_property PACKAGE_PIN G17 [get_ports hdmi_scl]
  157. #set_property IOSTANDARD LVCMOS33 [get_ports hdmi_scl]
  158.  
  159. ##IO_L16N_T2_35
  160. #set_property PACKAGE_PIN G18 [get_ports hdmi_sda]
  161. #set_property IOSTANDARD LVCMOS33 [get_ports hdmi_sda]
  162.  
  163. ##Pmod Header JA (XADC)
  164. ##IO_L21N_T3_DQS_AD14N_35
  165. #set_property PACKAGE_PIN N16 [get_ports {ja_n[0]}]
  166. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_n[0]}]
  167.  
  168. ##IO_L21P_T3_DQS_AD14P_35
  169. #set_property PACKAGE_PIN N15 [get_ports {ja_p[0]}]
  170. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_p[0]}]
  171.  
  172. ##IO_L22N_T3_AD7N_35
  173. #set_property PACKAGE_PIN L15 [get_ports {ja_n[1]}]
  174. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_n[1]}]
  175.  
  176. ##IO_L22P_T3_AD7P_35
  177. #set_property PACKAGE_PIN L14 [get_ports {ja_p[1]}]
  178. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_p[1]}]
  179.  
  180. ##IO_L24N_T3_AD15N_35
  181. #set_property PACKAGE_PIN J16 [get_ports {ja_n[2]}]
  182. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_n[2]}]
  183.  
  184. ##IO_L24P_T3_AD15P_35
  185. #set_property PACKAGE_PIN K16 [get_ports {ja_p[2]}]
  186. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_p[2]}]
  187.  
  188. ##IO_L20N_T3_AD6N_35
  189. #set_property PACKAGE_PIN J14 [get_ports {ja_n[3]}]
  190. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_n[3]}]
  191.  
  192. ##IO_L20P_T3_AD6P_35
  193. #set_property PACKAGE_PIN K14 [get_ports {ja_p[3]}]
  194. #set_property IOSTANDARD LVCMOS33 [get_ports {ja_p[3]}]
  195.  
  196. ##Pmod Header JB
  197. ##IO_L15N_T2_DQS_34
  198. #set_property PACKAGE_PIN U20 [get_ports lrclk]
  199. #set_property IOSTANDARD LVCMOS33 [get_ports lrclk]
  200.  
  201. ##IO_L15P_T2_DQS_34
  202. #set_property PACKAGE_PIN T20 [get_ports bclk]
  203. #set_property IOSTANDARD LVCMOS33 [get_ports bclk]
  204.  
  205. ##IO_L16N_T2_34
  206. #set_property PACKAGE_PIN W20 [get_ports data]
  207. #set_property IOSTANDARD LVCMOS33 [get_ports data]
  208.  
  209. ##IO_L16P_T2_34
  210. #set_property PACKAGE_PIN V20 [get_ports outdata]
  211. #set_property IOSTANDARD LVCMOS33 [get_ports outdata]
  212.  
  213. ##IO_L17N_T2_34
  214. #set_property PACKAGE_PIN Y19 [get_ports RXDATA2]
  215. #set_property IOSTANDARD LVCMOS33 [get_ports RXDATA2]
  216.  
  217. ##IO_L17P_T2_34
  218. #set_property PACKAGE_PIN Y18 [get_ports RXData1]
  219. #set_property IOSTANDARD LVCMOS33 [get_ports RXData1]
  220.  
  221. ##IO_L22N_T3_34
  222. #set_property PACKAGE_PIN W19 [get_ports FDATA1]
  223. #set_property IOSTANDARD LVCMOS33 [get_ports FDATA1]
  224.  
  225. ##IO_L22P_T3_34
  226. #set_property PACKAGE_PIN W18 [get_ports FDATA2]
  227. #set_property IOSTANDARD LVCMOS33 [get_ports FDATA2]
  228.  
  229. ##Pmod Header JC
  230. ##IO_L10N_T1_34
  231. #set_property PACKAGE_PIN W15 [get_ports reset_rtl]
  232. #set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl]
  233.  
  234.  
  235. ##IO_L10P_T1_34
  236. #set_property PACKAGE_PIN V15 [get_ports RECLRC]
  237. #set_property IOSTANDARD LVCMOS33 [get_ports RECLRC]
  238.  
  239. ##IO_L1N_T0_34
  240. #set_property PACKAGE_PIN T10 [get_ports {jc_n[1]}]
  241. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_n[1]}]
  242.  
  243. ##IO_L1P_T0_34
  244. #set_property PACKAGE_PIN T11 [get_ports {jc_p[1]}]
  245. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_p[1]}]
  246.  
  247. ##IO_L8N_T1_34
  248. #set_property PACKAGE_PIN Y14 [get_ports {jc_n[2]}]
  249. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_n[2]}]
  250.  
  251. ##IO_L8P_T1_34
  252. #set_property PACKAGE_PIN W14 [get_ports {jc_p[2]}]
  253. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_p[2]}]
  254.  
  255. ##IO_L2N_T0_34
  256. #set_property PACKAGE_PIN U12 [get_ports {jc_n[3]}]
  257. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_n[3]}]
  258.  
  259. ##IO_L2P_T0_34
  260. #set_property PACKAGE_PIN T12 [get_ports {jc_p[3]}]
  261. #set_property IOSTANDARD LVCMOS33 [get_ports {jc_p[3]}]
  262.  
  263. ##Pmod Header JD
  264. ##IO_L5N_T0_34
  265. #set_property PACKAGE_PIN T15 [get_ports {jd_n[0]}]
  266. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_n[0]}]
  267.  
  268. ##IO_L5P_T0_34
  269. #set_property PACKAGE_PIN T14 [get_ports {jd_p[0]}]
  270. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_p[0]}]
  271.  
  272. ##IO_L6N_T0_VREF_34
  273. #set_property PACKAGE_PIN R14 [get_ports {jd_n[1]}]
  274. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_n[1]}]
  275.  
  276. ##IO_L6P_T0_34
  277. #set_property PACKAGE_PIN P14 [get_ports {jd_p[1]}]
  278. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_p[1]}]
  279.  
  280. ##IO_L11N_T1_SRCC_34
  281. #set_property PACKAGE_PIN U15 [get_ports {jd_n[2]}]
  282. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_n[2]}]
  283.  
  284. ##IO_L11P_T1_SRCC_34
  285. #set_property PACKAGE_PIN U14 [get_ports {jd_p[2]}]
  286. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_p[2]}]
  287.  
  288. ##IO_L21N_T3_DQS_34
  289. #set_property PACKAGE_PIN V18 [get_ports {jd_n[3]}]
  290. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_n[3]}]
  291.  
  292. ##IO_L21P_T3_DQS_34
  293. #set_property PACKAGE_PIN V17 [get_ports {jd_p[3]}]
  294. #set_property IOSTANDARD LVCMOS33 [get_ports {jd_p[3]}]
  295.  
  296. ##Pmod Header JE
  297. ##IO_L4P_T0_34
  298. #set_property PACKAGE_PIN V12 [get_ports {je[0]}]
  299. #set_property IOSTANDARD LVCMOS33 [get_ports {je[0]}]
  300.  
  301. ##IO_L18N_T2_34
  302. #set_property PACKAGE_PIN W16 [get_ports {je[1]}]
  303. #set_property IOSTANDARD LVCMOS33 [get_ports {je[1]}]
  304.  
  305. ##IO_25_35
  306. #set_property PACKAGE_PIN J15 [get_ports {je[2]}]
  307. #set_property IOSTANDARD LVCMOS33 [get_ports {je[2]}]
  308.  
  309. ##IO_L19P_T3_35
  310. #set_property PACKAGE_PIN H15 [get_ports {je[3]}]
  311. #set_property IOSTANDARD LVCMOS33 [get_ports {je[3]}]
  312.  
  313. ##IO_L3N_T0_DQS_34
  314. #set_property PACKAGE_PIN V13 [get_ports {je[4]}]
  315. #set_property IOSTANDARD LVCMOS33 [get_ports {je[4]}]
  316.  
  317. ##IO_L9N_T1_DQS_34
  318. #set_property PACKAGE_PIN U17 [get_ports {je[5]}]
  319. #set_property IOSTANDARD LVCMOS33 [get_ports {je[5]}]
  320.  
  321. ##IO_L20P_T3_34
  322. #set_property PACKAGE_PIN T17 [get_ports {je[6]}]
  323. #set_property IOSTANDARD LVCMOS33 [get_ports {je[6]}]
  324.  
  325. ##IO_L7N_T1_34
  326. #set_property PACKAGE_PIN Y17 [get_ports {je[7]}]
  327. #set_property IOSTANDARD LVCMOS33 [get_ports {je[7]}]
  328.  
  329.  
  330. ##USB-OTG overcurrent detect pin
  331. ##IO_L3P_T0_DQS_PUDC_B_34
  332. #set_property PACKAGE_PIN U13 [get_ports otg_oc]
  333. #set_property IOSTANDARD LVCMOS33 [get_ports otg_oc]
  334.  
  335.  
  336. ##VGA Connector
  337. ##IO_L7P_T1_AD2P_35
  338. #set_property PACKAGE_PIN M19 [get_ports {vga_r[0]}]
  339. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[0]}]
  340.  
  341. ##IO_L9N_T1_DQS_AD3N_35
  342. #set_property PACKAGE_PIN L20 [get_ports {vga_r[1]}]
  343. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[1]}]
  344.  
  345. ##IO_L17P_T2_AD5P_35
  346. #set_property PACKAGE_PIN J20 [get_ports {vga_r[2]}]
  347. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[2]}]
  348.  
  349. ##IO_L18N_T2_AD13N_35
  350. #set_property PACKAGE_PIN G20 [get_ports {vga_r[3]}]
  351. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[3]}]
  352.  
  353. ##IO_L15P_T2_DQS_AD12P_35
  354. #set_property PACKAGE_PIN F19 [get_ports {vga_r[4]}]
  355. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[4]}]
  356.  
  357. ##IO_L14N_T2_AD4N_SRCC_35
  358. #set_property PACKAGE_PIN H18 [get_ports {vga_g[0]}]
  359. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[0]}]
  360.  
  361. ##IO_L14P_T2_SRCC_34
  362. #set_property PACKAGE_PIN N20 [get_ports {vga_g[1]}]
  363. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[1]}]
  364.  
  365. ##IO_L9P_T1_DQS_AD3P_35
  366. #set_property PACKAGE_PIN L19 [get_ports {vga_g[2]}]
  367. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[2]}]
  368.  
  369. ##IO_L10N_T1_AD11N_35
  370. #set_property PACKAGE_PIN J19 [get_ports {vga_g[3]}]
  371. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[3]}]
  372.  
  373. ##IO_L17N_T2_AD5N_35
  374. #set_property PACKAGE_PIN H20 [get_ports {vga_g[4]}]
  375. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[4]}]
  376.  
  377. ##IO_L15N_T2_DQS_AD12N_35
  378. #set_property PACKAGE_PIN F20 [get_ports {vga_g[5]}]
  379. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[5]}]
  380.  
  381. ##IO_L14N_T2_SRCC_34
  382. #set_property PACKAGE_PIN P20 [get_ports {vga_b[0]}]
  383. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[0]}]
  384.  
  385. ##IO_L7N_T1_AD2N_35
  386. #set_property PACKAGE_PIN M20 [get_ports {vga_b[1]}]
  387. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[1]}]
  388.  
  389. ##IO_L10P_T1_AD11P_35
  390. #set_property PACKAGE_PIN K19 [get_ports {vga_b[2]}]
  391. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[2]}]
  392.  
  393. ##IO_L14P_T2_AD4P_SRCC_35
  394. #set_property PACKAGE_PIN J18 [get_ports {vga_b[3]}]
  395. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[3]}]
  396.  
  397. ##IO_L18P_T2_AD13P_35
  398. #set_property PACKAGE_PIN G19 [get_ports {vga_b[4]}]
  399. #set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[4]}]
  400.  
  401. ##IO_L13N_T2_MRCC_34
  402. #set_property PACKAGE_PIN P19 [get_ports vga_hs]
  403. #set_property IOSTANDARD LVCMOS33 [get_ports vga_hs]
  404.  
  405. ##IO_0_34
  406. #set_property PACKAGE_PIN R19 [get_ports vga_vs]
  407. #set_property IOSTANDARD LVCMOS33 [get_ports vga_vs]
  408.  
  409. #set_property PACKAGE_PIN MIO13 [get_ports iic_0_scl_io]
  410. #set_property IOSTANDARD LVCMOS33 [get_ports iic_0_scl_io]
  411.  
  412. #set_property PACKAGE_PIN MIO10 [get_ports iic_0_sda_io]
  413. #set_property IOSTANDARD LVCMOS33 [get_ports iic_0_sda_io]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement