Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- library ieee;
- use ieee.std_logic_1164.all;
- use ieee.numeric_std.all;
- entity UDto7seg is
- port (
- UD: in std_logic_vector (3 downto 0);
- UDseg: out std_logic_vector (6 downto 0)
- );
- end UDto7seg;
- architecture dataflow of UDto7seg is
- begin
- process (UD) is
- begin
- case UD is
- when "0000" => UDseg <= "1111110";
- when "0001" => UDseg <= "0110000";
- when "0010" => UDseg <= "1101101";
- when "0011" => UDseg <= "1111001";
- when "0100" => UDseg <= "0110011";
- when "0101" => UDseg <= "1011011";
- when "0110" => UDseg <= "1011111";
- when "0111" => UDseg <= "1110000";
- when "1000" => UDseg <= "1111111";
- when "1001" => UDseg <= "1111011";
- when others => UDseg <= "XXXXXXX";
- end case;
- end process;
- end dataflow;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement