Advertisement
stiansjogren

Untitled

May 10th, 2016
237
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 3.12 KB | None | 0 0
  1. --Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
  2. ----------------------------------------------------------------------------------
  3. --Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015
  4. --Date        : Mon May  2 13:04:37 2016
  5. --Host        : Samsung running 64-bit Ubuntu 15.10
  6. --Command     : generate_target sine_generator_fh.bd
  7. --Design      : sine_generator_fh
  8. --Purpose     : IP block netlist
  9. ----------------------------------------------------------------------------------
  10. library IEEE;
  11. use IEEE.STD_LOGIC_1164.ALL;
  12. library UNISIM;
  13. use UNISIM.VCOMPONENTS.ALL;
  14. entity sine_generator_fh is
  15.   port (
  16.     clk : in STD_LOGIC;
  17.     data_out : out STD_LOGIC_VECTOR ( 11 downto 0 );
  18.     data_request : in STD_LOGIC;
  19.     reset_n : in STD_LOGIC
  20.   );
  21.   attribute CORE_GENERATION_INFO : string;
  22.   attribute CORE_GENERATION_INFO of sine_generator_fh : entity is "sine_generator_fh,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=sine_generator_fh,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=2,numReposBlks=2,numNonXlnxBlks=2,numHierBlks=0,maxHierDepth=0,synth_mode=Global}";
  23.   attribute HW_HANDOFF : string;
  24.   attribute HW_HANDOFF of sine_generator_fh : entity is "sine_generator_fh.hwdef";
  25. end sine_generator_fh;
  26.  
  27. architecture STRUCTURE of sine_generator_fh is
  28.   component sine_generator_fh_sine_table_0_0 is
  29.   port (
  30.     clk : in STD_LOGIC;
  31.     reset_n : in STD_LOGIC;
  32.     data_request : in STD_LOGIC;
  33.     amplitude : in STD_LOGIC_VECTOR ( 2 downto 0 );
  34.     addr : in STD_LOGIC_VECTOR ( 12 downto 0 );
  35.     data_out : out STD_LOGIC_VECTOR ( 11 downto 0 )
  36.   );
  37.   end component sine_generator_fh_sine_table_0_0;
  38.   component sine_generator_fh_sine_table_controller_0_0 is
  39.   port (
  40.     clk : in STD_LOGIC;
  41.     generate_enable : in STD_LOGIC;
  42.     addr : out STD_LOGIC_VECTOR ( 12 downto 0 );
  43.     amplitude : out STD_LOGIC_VECTOR ( 2 downto 0 )
  44.   );
  45.   end component sine_generator_fh_sine_table_controller_0_0;
  46.   signal clk_1 : STD_LOGIC;
  47.   signal data_request_1 : STD_LOGIC;
  48.   signal reset_n_1 : STD_LOGIC;
  49.   signal sine_table_0_data_out : STD_LOGIC_VECTOR ( 11 downto 0 );
  50.   signal sine_table_controller_0_addr : STD_LOGIC_VECTOR ( 12 downto 0 );
  51.   signal sine_table_controller_0_amplitude : STD_LOGIC_VECTOR ( 2 downto 0 );
  52. begin
  53.   clk_1 <= clk;
  54.   data_out(11 downto 0) <= sine_table_0_data_out(11 downto 0);
  55.   data_request_1 <= data_request;
  56.   reset_n_1 <= reset_n;
  57. sine_table_0: component sine_generator_fh_sine_table_0_0
  58.      port map (
  59.       addr(12 downto 0) => sine_table_controller_0_addr(12 downto 0),
  60.       amplitude(2 downto 0) => sine_table_controller_0_amplitude(2 downto 0),
  61.       clk => clk_1,
  62.       data_out(11 downto 0) => sine_table_0_data_out(11 downto 0),
  63.       data_request => data_request_1,
  64.       reset_n => reset_n_1
  65.     );
  66. sine_table_controller_0: component sine_generator_fh_sine_table_controller_0_0
  67.      port map (
  68.       addr(12 downto 0) => sine_table_controller_0_addr(12 downto 0),
  69.       amplitude(2 downto 0) => sine_table_controller_0_amplitude(2 downto 0),
  70.       clk => clk_1,
  71.       generate_enable => data_request_1
  72.     );
  73. end STRUCTURE;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement