Advertisement
Lauda

Untitled

Nov 16th, 2012
162
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 4.68 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date:    12:45:29 11/16/2012
  6. -- Design Name:
  7. -- Module Name:    zad11 - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22.  
  23. -- Uncomment the following library declaration if using
  24. -- arithmetic functions with Signed or Unsigned values
  25. --use IEEE.NUMERIC_STD.ALL;
  26.  
  27. -- Uncomment the following library declaration if instantiating
  28. -- any Xilinx primitives in this code.
  29. --library UNISIM;
  30. --use UNISIM.VComponents.all;
  31.  
  32. entity zad11 is
  33.     Port ( iCLK : in  STD_LOGIC;
  34.            iRESET : in  STD_LOGIC;
  35.            iW : in  STD_LOGIC_vector(1 downto 0);
  36.            oZ : out  STD_LOGIC);
  37. end zad11;
  38.  
  39. architecture Behavioral of zad11 is
  40.     type tStanje is (S0, S1, S2);
  41.     signal sStanje, sSledece_Stanje : tStanje;
  42.     signal sZ : std_logic;
  43. begin
  44. process (iW, sStanje) begin
  45.     case sStanje is
  46.         when S0 =>
  47.             case iW is
  48.                 when "00" => sSledece_Stanje <= S1; sZ <= '0';
  49.                 when "01" => sSledece_Stanje <= S1; sZ <= '0';
  50.                 when "10" => sSledece_Stanje <= S2; sZ <= '1';
  51.                 when others => sSledece_Stanje <= S1; sZ <= '0';
  52.             end case;
  53.         when S1 =>
  54.             case iW is
  55.                 when "00" => sSledece_Stanje <= S2; sZ <= '0';
  56.                 when "01" => sSledece_Stanje <= S1; sZ <= '0';
  57.                 when "10" => sSledece_Stanje <= S2; sZ <= '0';
  58.                 when others => sSledece_Stanje <= S1; sZ <= '0';
  59.             end case;
  60.         when S2 =>
  61.             case iW is
  62.                 when "00" => sSledece_Stanje <= S0; sZ <= '1';
  63.                 when "01" => sSledece_Stanje <= S0; sZ <= '0';
  64.                 when "10" => sSledece_Stanje <= S2; sZ <= '0';
  65.                 when others => sSledece_Stanje <= S1; sZ <= '0';
  66.             end case;
  67.     end case;
  68. end process;
  69.  
  70. process (iCLK) begin
  71.     if(iRESET = '1') then
  72.         sStanje <= S1;
  73.         oZ <= '0';
  74.     elsif(iCLK'event and iCLK = '1') then
  75.         sStanje <= sSledece_Stanje;
  76.         oZ <= sZ;
  77.     end if;
  78. end process;
  79.        
  80.  
  81.  
  82. end Behavioral;
  83.  
  84. -- TESTBENCH
  85. --------------------------------------------------------------------------------
  86. -- Company:
  87. -- Engineer:
  88. --
  89. -- Create Date:   12:53:33 11/16/2012
  90. -- Design Name:  
  91. -- Module Name:   C:/FAX/LPRS/zad112011/zad11_tb.vhd
  92. -- Project Name:  zad112011
  93. -- Target Device:  
  94. -- Tool versions:  
  95. -- Description:  
  96. --
  97. -- VHDL Test Bench Created by ISE for module: zad11
  98. --
  99. -- Dependencies:
  100. --
  101. -- Revision:
  102. -- Revision 0.01 - File Created
  103. -- Additional Comments:
  104. --
  105. -- Notes:
  106. -- This testbench has been automatically generated using types std_logic and
  107. -- std_logic_vector for the ports of the unit under test.  Xilinx recommends
  108. -- that these types always be used for the top-level I/O of a design in order
  109. -- to guarantee that the testbench will bind correctly to the post-implementation
  110. -- simulation model.
  111. --------------------------------------------------------------------------------
  112. LIBRARY ieee;
  113. USE ieee.std_logic_1164.ALL;
  114.  
  115. -- Uncomment the following library declaration if using
  116. -- arithmetic functions with Signed or Unsigned values
  117. --USE ieee.numeric_std.ALL;
  118.  
  119. ENTITY zad11_tb IS
  120. END zad11_tb;
  121.  
  122. ARCHITECTURE behavior OF zad11_tb IS
  123.  
  124.     -- Component Declaration for the Unit Under Test (UUT)
  125.  
  126.     COMPONENT zad11
  127.     PORT(
  128.          iCLK : IN  std_logic;
  129.          iRESET : IN  std_logic;
  130.          iW : IN  std_logic_vector(1 downto 0);
  131.          oZ : OUT  std_logic
  132.         );
  133.     END COMPONENT;
  134.    
  135.  
  136.    --Inputs
  137.    signal iCLK : std_logic := '0';
  138.    signal iRESET : std_logic := '0';
  139.    signal iW : std_logic_vector(1 downto 0) := (others => '0');
  140.  
  141.     --Outputs
  142.    signal oZ : std_logic;
  143.  
  144.    -- Clock period definitions
  145.    constant iCLK_period : time := 10 ns;
  146.  
  147. BEGIN
  148.  
  149.     -- Instantiate the Unit Under Test (UUT)
  150.    uut: zad11 PORT MAP (
  151.           iCLK => iCLK,
  152.           iRESET => iRESET,
  153.           iW => iW,
  154.           oZ => oZ
  155.         );
  156.  
  157.    -- Clock process definitions
  158.    iCLK_process :process
  159.    begin
  160.         iCLK <= '0';
  161.         wait for iCLK_period/2;
  162.         iCLK <= '1';
  163.         wait for iCLK_period/2;
  164.    end process;
  165.  
  166.  
  167.    -- Stimulus process
  168.    stim_proc: process
  169.    begin       
  170.       iRESET <= '1';
  171.         wait for iCLK_period*3;
  172.         iRESET <= '0';
  173.        
  174.         iW <= "00";
  175.         wait for iCLK_period;
  176.        
  177.         iW <= "01";
  178.         wait for iCLK_period*4;
  179.        
  180.         iW <= "10";
  181.         wait for iCLK_period;
  182.        
  183.         iW <= "01";
  184.         wait for iCLK_period;
  185.        
  186.         iW <= "10";
  187.         wait for iCLK_period;
  188.        
  189.         iW <= "00";
  190.         wait for iCLK_period;
  191.  
  192.       -- insert stimulus here
  193.  
  194.       wait;
  195.    end process;
  196.  
  197. END;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement