Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- module tb_matrix_multiplier();
- reg clk;
- reg rst;
- reg [31:0] matrix_A;
- reg [31:0] matrix_B;
- wire [31:0] matrix_C;
- matrix_multiplier uut (
- .clk(clk),
- .rst(rst),
- .matrix_A(matrix_A),
- .matrix_B(matrix_B),
- .matrix_C(matrix_C)
- );
- always begin
- #5 clk = ~clk;
- end
- initial begin
- clk = 0;
- rst = 0;
- matrix_A = 32'h00000000;
- matrix_B = 32'h00000000;
- rst = 1;
- #10 rst = 0;
- matrix_A = 32'h12345678;
- matrix_B = 32'h9ABCDEF0;
- #100;
- $display("matrix_C = %h", matrix_C);
- $finish;
- end
- endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement