Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- module tb;
- parameter time CYCLE = 10ns;
- logic clk;
- initial begin
- clk <= 0;
- forever begin
- #(CYCLE/2) clk ^= 1'b1;
- end
- end
- always @(posedge clk) begin
- clk = 0;
- $display("first thread");
- end
- always @(posedge clk) begin
- clk = 0;
- $display("second thread");
- end
- initial begin
- #1000ns;
- $stop;
- end
- endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement