Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- library IEEE;
- use IEEE.STD_LOGIC_1164.ALL;
- use IEEE.STD_LOGIC_ARITH.ALL;
- use IEEE.STD_LOGIC_UNSIGNED.ALL;
- entity mux16 is
- Port ( p : in STD_LOGIC_VECTOR(3 downto 0);
- r : in STD_LOGIC_VECTOR(3 downto 0);
- o : in STD_LOGIC_VECTOR(3 downto 0);
- s : in STD_LOGIC_VECTOR(3 downto 0);
- t : in STD_LOGIC_VECTOR(3 downto 0);
- e1 : in STD_LOGIC_VECTOR(3 downto 0);
- spacja0 : in STD_LOGIC_VECTOR(3 downto 0);
- z : in STD_LOGIC_VECTOR(3 downto 0);
- a1 : in STD_LOGIC_VECTOR(3 downto 0);
- d : in STD_LOGIC_VECTOR(3 downto 0);
- a2 : in STD_LOGIC_VECTOR(3 downto 0);
- n : in STD_LOGIC_VECTOR(3 downto 0);
- i : in STD_LOGIC_VECTOR(3 downto 0);
- e2 : in STD_LOGIC_VECTOR(3 downto 0);
- spacja1 : in STD_LOGIC_VECTOR(3 downto 0);
- spacja2 : in STD_LOGIC_VECTOR(3 downto 0);
- wyj_1 : out STD_LOGIC_VECTOR(3 downto 0);
- wyj_2 : out STD_LOGIC_VECTOR(3 downto 0);
- wyj_3 : out STD_LOGIC_VECTOR(3 downto 0);
- wyj_4 : out STD_LOGIC_VECTOR(3 downto 0);
- wej : in STD_LOGIC_VECTOR(3 downto 0));
- end mux16;
- architecture Behavioral of mux16 is
- begin
- with wej select
- wyj_1 <= p when "0000",
- r when "0001",
- o when "0010",
- s when "0011",
- t when "0100",
- e1 when "0101",
- spacja0 when "0110",
- z when "0111",
- a1 when "1000",
- d when "1001",
- a2 when "1010",
- n when "1011",
- i when "1100",
- e2 when "1101",
- spacja1 when "1110",
- spacja2 when others ;
- with wej select
- wyj_2 <= r when "0000",
- o when "0001",
- s when "0010",
- t when "0011",
- e1 when "0100",
- spacja0 when "0101",
- z when "0110",
- a1 when "0111",
- d when "1000",
- a2 when "1001",
- n when "1010",
- i when "1011",
- e2 when "1100",
- spacja1 when "1101",
- spacja2 when "1110",
- p when others ;
- with wej select
- wyj_3 <= o when "0000",
- s when "0001",
- t when "0010",
- e1 when "0011",
- spacja0 when "0100",
- z when "0101",
- a1 when "0110",
- d when "0111",
- a2 when "1000",
- n when "1001",
- i when "1010",
- e2 when "1011",
- spacja1 when "1100",
- spacja2 when "1101",
- p when "1110",
- r when others ;
- with wej select
- wyj_4 <= s when "0000",
- t when "0001"
- e1 when "0010",
- spacja0 when "0011",
- z when "0100",
- a1 when "0101",
- d when "0110",
- a2 when "0111",
- n when "1000",
- i when "1001",
- e2 when "1010",
- spacja1 when "1011",
- spacja2 when "1100",
- p when "1101",
- r when "1110",
- o when others ;
- end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement