Advertisement
k10101110

Untitled

Sep 17th, 2023
291
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module main_tb();
  2. real out;
  3. real inps[2], ws[2];
  4. logic clk, rst;
  5. Layer l1(out, inps, ws, clk, rst);
  6. initial begin
  7.     $dumpfile("target/ow.vcd");
  8.     $dumpvars(0, main_tb);
  9.     inps[0] = 1.0;
  10.     inps[1] = 2.0;
  11.     ws[0] = 5.0;
  12.     ws[1] = 3.0;
  13.     rst = 0;
  14.     clk = 0;
  15.     #10
  16.     clk = 1;
  17.     #10
  18.     clk = 0;
  19.     $display(out);
  20.     #10
  21.     $finish;
  22. end
  23. endmodule
  24.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement